`timescale 1us / 1us module lcd_writer_tf ( output reg write_button, output reg reset_button, output [7:0] switches); // All times are in microseconds // This determines how long to wait for the LCD initialization // If your circuit takes longer to initialize the LCD you can increase this delay parameter INITIAL_DELAY = 2000; parameter MSG_LEN = 7; reg [7:0] message [MSG_LEN-1:0]; integer curr; assign switches = message[curr]; initial begin message[0] = "H"; message[1] = "o"; message[2] = "o"; message[3] = "r"; message[4] = "a"; message[5] = "y"; message[6] = "!"; output_data; $display("Testing reset"); output_data; $display("Done sending data"); $stop; end task output_data; begin reset_button = 0; #10 reset_button = 1; curr = -1; write_button = 1; #INITIAL_DELAY while (curr < MSG_LEN - 1) begin curr = curr + 1; #10 write_button = 0; #10 write_button = 1; #50 ; end end endtask endmodule