`timescale 1ns / 1ns module FPGA_Clock_Sim(output reg fpga_clk); initial begin fpga_clk = 0; forever begin #20 fpga_clk = ~fpga_clk; end end endmodule