module KeypadDecoder (R1, R2, R3, R4, C1, C2, C3, K8, K4, K2, K1, KP); input R1, R2, R3, R4, C1, C2, C3; output K8, K4, K2, K1, KP; reg [3:0] key; always @(R1 or R2 or R3 or R4 or C1 or C2 or C3) begin /* insert code here */ end assign KP = /* insert code here */ assign K8 = key[3]; assign K4 = key[2]; assign K2 = key[1]; assign K1 = key[0]; endmodule