module Pulse_Gen ( output write, input clk, // IMPORTANT NOTE: This clock must be the divided clock not the original input write_button ); reg curr; reg prev; assign write = curr && !prev; initial begin curr <= 0; prev <= 0; end always @(posedge clk) begin prev <= curr; curr <= !write_button; end endmodule