module filter (input clk, input enable, input newFrame, input validPixelIn, input [7:0] pixelRedIn, input [7:0] pixelGreenIn, input [7:0] pixelBlueIn, output [7:0] pixelRedOut, output [7:0] pixelGreenOut, output [7:0] pixelBlueOut ); pixelValidOut = pixelValidIn; lineAddrOut = lineAddrIn; colAddrOut = colAddrIn; // Instantiate the interpolation module interpolate int_inst (.pixelIn (grayIn), // gray-scale value in .average (average), .pixelOut (grayOut)); // gray-scale value out endmodule